\d表达示属于PCRE库,适用于"preg_"
而"ereg_"为前缀的函数使用的是POSIX扩展库,不支持\d这样的表达式