如何用VHDL把两个8位数据变成一个8位数据?就是比如9 8应该是00001001 00001000然后把它变成一个8位输出 01100010