问题描述:
    我先定义了一个共用包(CommPK),其中只含有三个共有单元(unit1,unit2,unit3).然后另外又创建了一个包(PK1).在PK1包中的Requires 中引用了共用包(CommPK),接着在PK1包中的Contains 中新建一个单位unit5.然后编释PK1包.此时提示[Error] PK1.dpk(53): Package 'CommPK' already contains unit 'unit5'编释无法通过。
问题:
    明明我的CommPK包中没有contains unit5,怎么会提示CommPK 中已contains unit 'unit5'.找了半没天没找到问题所在。哪位朋友能指点迷津.我重新编释了CommpK,再编释PK1,问题也一样。