本帖最后由 yuki58 于 2013-04-29 14:19:12 编辑

解决方案 »

  1.   

    你要怎么对齐??
    把write换成writeln,看下是不是你要的效果。
      

  2.   

    用Format函数
    var
      i : integer;
      s: string;
    begin
      s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx1=%2d   ',[i, i*1]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx2=%2d   ',[i, i*2]);
      end;
      write(s+#13);
      

  3.   


    program Project1;{$APPTYPE CONSOLE}uses
      SysUtils;var
      i : integer;
      s: string;
    begin
      s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx1=%2d   ',[i, i*1]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx2=%2d   ',[i, i*2]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx3=%2d  ',[i, i*3]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx4=%2d   ',[i, i*4]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx5=%2d   ',[i, i*5]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx6=%2d   ',[i, i*6]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx7=%2d   ',[i, i*7]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx8=%2d   ',[i, i*8]);
      end;
      write(s+#13);  s := '';
      for i :=1 to 9 do begin
        s := s + Format('%dx9=%2d   ',[i, i*9]);
      end;
      write(s+#13);  readln;
    end.
      

  4.   

    楼上的高手,能否再帮忙看看~~我按你的操作输出的时候提示这样的error在线等~
      

  5.   

    Format要uses SysUtils单元
    SysUtils.Format
      

  6.   

    program Project2;{$APPTYPE CONSOLE}uses
      SysUtils;
    var
      i, k: Integer;
      s: string;
    begin
      { TODO -oUser -cConsole Main : Insert code here }
      for i := 1 to 9 do
      begin
        s := '';
        for k := 1 to 9 do
          s := s + Format('%dx' + inttostr(k) + '=%2d   ', [i, i * k]);
        write(s + #13);
      end;
      Readln;
    end.